Wednesday, January 23, 2013

Compiling Altera Libraries for Modelsim DE

One thing I do rarely is compile Altera libraries for simulation, and when I have to it involves web searching.  Anyway, now it is appearing here so I don't have to think about it anymore

This example compiles the altera_mf.v file into a library.  Update the path accordingly, as of this moment I am using quartus 11.1.  The main thing is paying attention to where altera_mf.v can be found


vlib altera_mf_ver
vmap altera_mf_ver altera_mf_ver
vlog -work altera_mf_ver C:/altera/11.1/quartus/eda/sim_lib/altera_mf.v

No comments:

Post a Comment